Skip to content
Commit 0c0de58f authored by Vipul Kumar's avatar Vipul Kumar Committed by Jagan Teki
Browse files

spi: xilinx_spi: Modify transfer logic xilinx_spi_xfer() function



This patch modify xilinx_spi_xfer() function and add rxfifo() and
txfifo() functions to add the modularity so that these functions
can be used by other functions within the same file.

This patch also added support to read fifo_size from dts.

Signed-off-by: default avatarVipul Kumar <vipul.kumar@xilinx.com>
Signed-off-by: default avatarSiva Durga Prasad Paladugu <siva.durga.paladugu@xilinx.com>
Reviewed-by: default avatarJagan Teki <jagan@openedev.com>
parent 4e7c1a26
0% or .
You are about to add 0 people to the discussion. Proceed with caution.
Finish editing this message first!
Please register or to comment